Tema: codigos vhdl
Ver Mensaje Individual
  #8 (permalink)  
Antiguo 05/11/2010, 14:41
yamteo
 
Fecha de Ingreso: noviembre-2010
Mensajes: 1
Antigüedad: 13 años, 6 meses
Puntos: 0
Respuesta: Re: codigos vhdl

buenas tardes:

quiciera pedirte colavoracion con unos programas en VHDL, lo que pasa es que soy nuevo en este campo, lo principal es unir bloques.

por otra parte tengo que hacer un codificador de binario a BCD y a 7 segmentos y no e sido capaz me podrias colaborar.