Foros del Web » Programación para mayores de 30 ;) » Programación General »

codigos vhdl

Estas en el tema de codigos vhdl en el foro de Programación General en Foros del Web. hola alguien me puede decir donde puedeo encontrar codigos vhdl de circuitos electronicos?...
  #1 (permalink)  
Antiguo 20/01/2007, 13:52
 
Fecha de Ingreso: julio-2006
Mensajes: 145
Antigüedad: 17 años, 9 meses
Puntos: 1
codigos vhdl

hola alguien me puede decir donde puedeo encontrar codigos vhdl de circuitos electronicos?
  #2 (permalink)  
Antiguo 22/01/2007, 16:21
Avatar de _Keny_  
Fecha de Ingreso: abril-2006
Mensajes: 326
Antigüedad: 18 años
Puntos: 1
Re: codigos vhdl

que tipo de programa necesitas si quieres puedo ayudarte
__________________
Está bien tener sombrero por si se presenta una buena ocasión para quitárselo


http://ienk.elbruto.es/
  #3 (permalink)  
Antiguo 23/01/2007, 04:40
 
Fecha de Ingreso: julio-2006
Mensajes: 145
Antigüedad: 17 años, 9 meses
Puntos: 1
Re: codigos vhdl

necesitaria por ejemplo el de una alu 74ls138
  #4 (permalink)  
Antiguo 23/01/2007, 11:06
Avatar de _Keny_  
Fecha de Ingreso: abril-2006
Mensajes: 326
Antigüedad: 18 años
Puntos: 1
Re: codigos vhdl

vas a hacer la alu? con GAL o PAL ?

haber si con esto te puedes dar una idea...

library ieee;
use ieee.std_logic_1164.all;

entity alu is port(
a,b: in std_logic_vector(3 downto 0);
sel: in std_logic_vector(2 downto 0);
c:out std_logic_vector(3 downto 0));
end alu;

architecture logica of alu is
begin
alu: process(a,b,sel)
begin
case sel is
when "001"=>c<= (a xor b);
when "010"=>c<= (a and b);
when "011"=>c<= (a or b);
when "100"=>c<= (a nor b);
when "101"=>c<= not(b);
when "110"=>c<= b;
when others=>c<="0000";
end case;
end process alu;
end logica;
__________________
Está bien tener sombrero por si se presenta una buena ocasión para quitárselo


http://ienk.elbruto.es/
  #5 (permalink)  
Antiguo 31/01/2007, 07:22
 
Fecha de Ingreso: enero-2007
Mensajes: 1
Antigüedad: 17 años, 3 meses
Puntos: 0
Re: codigos vhdl

Buenas tardes. A ver si alguien me puede ayudar. Necesito una instruccion en vhdl para que cuando ejecute el código con el simulador symphony eda y pase por una puerta determinada, salga en el simulador un aviso de alerta de que ha pasado por allí. Creo que es alert pero no lo tengo claro y el formato que sigue tampoco. Gracias de antemano.
  #6 (permalink)  
Antiguo 10/12/2008, 02:09
 
Fecha de Ingreso: diciembre-2008
Mensajes: 1
Antigüedad: 15 años, 4 meses
Puntos: 0
Respuesta: codigos vhdl

Que tal Buenas noches estoy desesperado disculpen podrian ayudarme en mi problema es algo acerca de vhdl y pues es algo complicado en sus estructura para mi en la logica lo se interpretar pero ya en concreto no se como meter los datos ni nada de eso.....

Problema: Realizar una Alu de 8 bits que entre otras operaciones realize la suma sin signo (SSS) y la suma en complemento a dos (SC2)
a) Dado dos numeros positivos A y B ¿ Da igual sumarlos mediante SSS que mediante SC2?
b)Realize si es posible las siguientes operaciones
1.- (-75)+125
2.- (-75)+(-125)
3.- 75+(-125)
4.- 75+125

En conclusion segun mi catedratico lo resumio en hacer una alu segun el Hacer 3 alu's 1 alu seria del dato A de 8bits, el numero seria positivo o negativo logicamente con su ultimo bit de signo segun yo ya si no aunq sea un dato positivo y despues realizar otra alu de 8 bits que seria el dato B Despues realizar otra alu final que lo unico que haria seria sumar los dos signos y listo ya sin tanto insiso ni nada pues solo realizar un complemento a 2 y listo espero me puedan ayudar, en caso que no se pueda realizar asi pues almenos solo 1 alu que tenga 4 opciones si escoges opcion 1 hacer la suma de 1.- (-75)+125 y si es de 2 hacer la 2.- (-75)+(-125) y asi consecutivamente y solo aplicarle el complemento a 2 lo menciono por cualquier duda es cuando un numero binario se cambian los 1 por 0 y los 0 por 1 eso es complemento a 1 y despues complemento a 2 simplemente a ese nimero binario modificado se le agregaria un 1 y listo.... gracias por su ayudar.....
  #7 (permalink)  
Antiguo 29/05/2010, 12:08
 
Fecha de Ingreso: mayo-2010
Mensajes: 1
Antigüedad: 14 años
Puntos: 0
Respuesta: codigos vhdl

ola buenas, queria saber si me podriais ayudar a realizar un par de partes de varias practicas porque no consigo q me salgan perfectas, gracias de antemano
  #8 (permalink)  
Antiguo 05/11/2010, 14:41
 
Fecha de Ingreso: noviembre-2010
Mensajes: 1
Antigüedad: 13 años, 5 meses
Puntos: 0
Respuesta: Re: codigos vhdl

buenas tardes:

quiciera pedirte colavoracion con unos programas en VHDL, lo que pasa es que soy nuevo en este campo, lo principal es unir bloques.

por otra parte tengo que hacer un codificador de binario a BCD y a 7 segmentos y no e sido capaz me podrias colaborar.
Atención: Estás leyendo un tema que no tiene actividad desde hace más de 6 MESES, te recomendamos abrir un Nuevo tema en lugar de responder al actual.
Respuesta




La zona horaria es GMT -6. Ahora son las 19:31.